Need help with VHDL code for metal detector

I am working on a metal detector at the moment but cant figure out how to implement it my VHDL code.

ENTITY sensor IS
port ( metaldetector : in std_logic;
metal : out std_logic;
);
END ENTITY sensor;

As long as there isnt any metal close to the sensor 'metaldetector' gets pulses on a 6.1kHz frequency. So as long as the 'metaldetector' keeps getting pulses the out port 'metal' should be '0'.

When there is a pulse missing (or multiple pulses) 'metal' should become '1' until the next pulse.

It shouldnt be that hard to make a code that can do that, but i just cant figure it out. Any help would realy be great!

Comments

  • First when I started my hobby of metal detecting, I do not know that there is a device called gold metal detector that can help me to have easier access to the gold metal and helps me sava time, then one of my friends told me about this device, I am so curious and want to find a good equippment to help me so I search the internet and find the one that I am satisfied with at http://www.detectorall.com and by now everything goes on well and I have find some gold coins by using such kind of gold metal detector.

  • First when I started my hobby of metal detecting, I do not know that there is a device called gold metal detector that can help me to have easier access to the gold metal and helps me sava time, then one of my friends told me about this device, I am so curious and want to find a good equippment to help me so I search the internet and find the one that I am satisfied with at http://www.detectorall.com and by now everything goes on well and I have find some gold coins by using such kind of gold metal detector.

  • First when I started my hobby of metal detecting, I do not know that there is a device called gold metal detector that can help me to have easier access to the gold metal and helps me sava time, then one of my friends told me about this device, I am so curious and want to find a good equippment to help me so I search the internet and find the one that I am satisfied with at http://www.detectorall.com and by now everything goes on well and I have find some gold coins by using such kind of gold metal detector.

  • Metal detecting is very interesting, especially when you find the metal through the metal detector by yourself, the feeling is extremely good. So I trust detectorall.com, where I bought my [link=http://www.detectorall.com/categories/Gold-Metal-Detectors/]metal detector for gold[/link], and have used it to find my ring in the garden.

  • You can easily Google a available one. Well, nice to meet [link=http://www.detectorall.com/categories/Metal-Detectors/][color=Black]metal detecting[/color][/link] guy here. Have you gained anything these days?
  • It is easy for you to Google a available one. Well, nice to meet [link=http://www.detectorall.com/categories/Metal-Detectors/][color=Black]metal detecting[/color][/link] guy here. Have you detected anything valuable these days?
  • ThinkBoldGroup ( Think Bold Group ) review
    http://reviewthinkboldgroup.blogspot.com/

  • IeeeXpertIeeeXpert India
    edited August 2016

    you can ask IeeeXpert as help
    ieee vlsi projects

    Ieee Vlsi Projects

Sign In or Register to comment.

Howdy, Stranger!

It looks like you're new here. If you want to get involved, click one of these buttons!

Categories